CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl 电梯

搜索资源列表

  1. EDA

    1下载:
  2. eda技术的说明,程序VHDL(电梯\\键扫\\交通灯\\步进电机)CPLD/FPGA
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1589902
    • 提供者:徐钧
  1. vhd

    0下载:
  2. 一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:124986
    • 提供者:123456
  1. e_lift.vhdl

    0下载:
  2. 电梯停在一楼时,接受到请求信号c_d3、c_d2、c_u4和d6,并把请求信号写入相应的寄存器。led显示电梯所在楼层;led_d、led-c_u和led_c_d显示用户的请求。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162905
    • 提供者:李立
  1. dt-VHDL

    1下载:
  2. 电梯控制器的功能   本电梯控制器分为主控制器和分控制器。主控制器是电梯内部的控制器,每个楼层有一个分控制器。主控制器的功能:   (1)完成16个楼层多用户的载客服务控制。   (2)电梯运行时显示电梯的运行方向和所在的楼层。   (3)当电梯到达选择的楼层时,电梯自动开门。   (4)具有提前关电梯门和延时关电梯门的功能。   (5)响应分控制器的有效请求,如果到达有请求的楼层,电梯自动开门。    分控制器的功能:   (1)显示电梯的运行状态和所在的楼层。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:164122
    • 提供者:que
  1. dianti.rar

    0下载:
  2. 以FPGA技术为基础,以VHDL为语言,以QuartusII为工具,设计一个5层楼的电梯控制器,To FPGA technology, to VHDL language to QuartusII as a tool to design a 5-story elevator controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:2450
    • 提供者:linyao
  1. dianti

    0下载:
  2. 三层电梯vhdl程序 实现上下请求 显示 排序等功能-Vhdl program three elevators up and down the request shows that the functions of sorting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1105
    • 提供者:李永刚
  1. EDA-elevator-controller

    0下载:
  2. 在QuartusII里用VHDL仿真实现电梯控制器-QuartusII elevator controller VHDL Simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:404817
    • 提供者:Yolanda
  1. dianti_6

    0下载:
  2. 6层电梯设计(有详细开发过程及大量注释)-6-storey elevator design (a detailed development process and a large amount of the Notes)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:174291
    • 提供者:WCX
  1. Quartus2_VerilogRoutine

    1下载:
  2. 该文档是基于QUARTUS2_6.0的Verilog试验例程,其中附有工程源码,对于初学者是最好的例程!它是本人花费一年多自学后写的例程,以便初学者入门,里面附有很多图解,很详细!-The document is based on the Verilog test QUARTUS2_6.0 routines, including an engineering source code, for beginners is the best routine! It is, I spent more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4041741
    • 提供者:王斌
  1. VerilogHDL

    1下载:
  2. 完整的九层电梯控制器verilog源代码-Complete nine-story elevator controller Verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1310710
    • 提供者:周依婷
  1. chengxu

    0下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control pr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1278330
    • 提供者:chenjy
  1. vhdl2

    0下载:
  2. 电梯控制器程序设计与仿真的vhdl源代码-Elevator controller design and simulation of vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:162463
    • 提供者:胡爱军
  1. lift.vhd

    0下载:
  2. 用VHDL实现了电梯的模拟程序,实现了自动判断楼层,然后根据客户需求和楼层最近原则,实现自动判断上下行,还有报警,强制开门等功能-Achieved using VHDL elevator simulation program, to determine the realization of an automatic floor, and then based on the principle of demand and the floor recently, automatically dete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1356
    • 提供者:董灏
  1. VHDLbasicExampleDEVELOPEMENTsoursE

    1下载:
  2. 这里收录的是《VHDL基础及经典实例开发》一书中12个大型实例的源程序。为方便读者使用,介绍如下: Chapter3:schematic和vhdl文件夹,分别是数字钟设计的原理图文件和VHDL程序; Chapter4:multiplier文件夹,串并乘法器设计程序(提示:先编译程序包); Chapter5:sci文件夹,串行通信接口设计程序; Chapter6:watchdog文件夹,看门狗设计程序; Chapter7:taxi文件夹,出租车计价器设计程序; Chapte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138782
    • 提供者:wuyu
  1. diantivhdl

    0下载:
  2. 电梯的vhdl设计,6层楼含开关门,警报,内部请求,外部请求-Vhdl elevator design, six floors with switch doors, alarm, internal requests and external requests
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:162515
    • 提供者:卢宇
  1. eda2

    0下载:
  2. 工具MAX+PLUS2,用VHDL语言实现一个三层电梯的控制。-Tool MAX+ PLUS2, with the VHDL language to achieve a three-story elevator control.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-04
    • 文件大小:1133229
    • 提供者:shang
  1. 8-layerelevatorrunprogram

    1下载:
  2. 用VHDL代码编写的8层电梯工作过程,有详细的注释-VHDL code is written with 8-layer lift the working process, with detailed notes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:9539
    • 提供者:guosai
  1. VHDL-diante-KONGZHI-CHENGXU

    0下载:
  2. 一个VHDL电梯控制器的程序1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响应,每个请求信号保留至执行后消除。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:9698
    • 提供者:liuchao
  1. shejishengjiangji

    0下载:
  2. 对电梯的基本功能进行了实现,并把电梯的一些特殊功能进行了改进,这是本人的毕业设计程序。-The basic functions of the elevator to achieve, and to lift some of the special features have been improved, this is my graduation project process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2918
    • 提供者:zhengjibin
  1. VHDL-ELEVATOR-CONTORLLER-DESIGN

    0下载:
  2. VHDL电梯控制器程序设计与仿真,内含原理图和VHDL源码,有助于学习VHFL-VHDL u7535 u68AF u63A7 u5236 u5668 u7A0B u5E8F u8BBE u8BA1 u4E0E u4EFF u771F
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:164864
    • 提供者:刘冲
« 1 2 34 5 6 7 8 9 »
搜珍网 www.dssz.com